Във всеки съвременен телефон има няколко ASIC. С тях са

...
Във всеки съвременен телефон има няколко ASIC. С тях са
Коментари Харесай

ASIC и FPGA: четиридесет години еволюция

Във всеки актуален телефон има няколко ASIC. С тях са цялостни колите, стелажите на центровете за данни, датчиците на интелигентните домове и въобще всички електронни устройства. Без ASIC чиповете бързият интернет, 3D игрите и ускорителите за машинно образование биха били невъзможни.

Чиповете ASIC, техните роднини FPGA и технологиите за планиране, които се развиха дружно с тях, направиха допустимо влагането на комплицирани логаритми непосредствено в хардуера. Те разчупиха стандарта, че една изчислителна система е единствено процесор, памет и програмиране. В тази публикация обърнем внимание на това по какъв начин се развиха ASIC, каква роля изиграха FPGA в този развой и какъв може да бъде хардуерът на бъдещето.

Еволюцията на смисъла на съкращението ASIC

Микропроцесорът Intel 4004 със свободно разполагане на логическите детайли. Източник: SciHi Blog.

Съкращението ASIC значи Application-Specific Integrated Circuit (интегрална скица със характерно приложение). Сега това название може да наподобява необичайно, защото всички модерни чипове са интегрални и всеки от тях има някакво приложение. Но терминът ASIC се популяризира преди повече от 40 години, при започване на 80-те години на предишния век. Тогава той се отнасяше за чипове, които не бяха нито микропроцесори, нито общоприети групи логичен детайли – AND, OR, D-тригери.

Близък проект на електрическата скица на Intel 4004 – микропроцесор, основан по поръчка. Източник: Intel Corporation

По-късно терминът ASIC стартира да се употребява за група микрочипове с несъмнено разположение на логическите детайли: редове от кафези с идентична височина и канали сред тях. Тази група се опълчва на микросхемите със свободно разположение на логическите детайли, основани единствено за един единствен план. „ Свободният “ жанр се наричаше full-custom. До средата на 90-те години на предишния век усъвършенстваните микропроцесори се проектираха в този жанр, само че по-късно размерът им се усили и методът full-custom стана прекомерно трудоемък. Оттогава даже процесорите се проектират в жанр ASIC.

Пример за ASIC чип с кафези с идентична височина и канали сред тях

Постепенно, от 80-те години на предишния век, те стартират да слагат в един чип това, което преди е било в няколко: към процесорните ядра се прибавят кешове и математически копроцесори, вградени блокове памет, контролери на периферни устройства, графични ускорители и ускорители за машинно образование. За тази организация на чипа беше въведено наименованието „ система върху чипa “ или „ едночипова система “, само че това значение се обърка и с термина ASIC.

Терминът ASIC придобива изключително значение измежду проектантите на мрежови устройства по време на възхода на интернет в края на 90-те години на предишния век и измежду миньорите на криптовалути в края на 2010-те години.

За мрежовите инженери ASIC е чип за бърза обработка на пакети данни, който заобикаля главния процесор. Повечето пакети са опростени, те би трябвало да бъдат получени от врата на маршрутизатора, да се модифицира заглавието и да се изпратят към различен порт. Процесорната стратегия прави това постепенно, тъй като байт по байт трансформира наличието първо на единия пакет, а по-късно на другия. Но от ASIC клетките може да се построи така наречен конвейер – хардуерна конструкция, която за всеки порт и на всеки такт ще получава пакетно предаване от десетки байтове, а по-късно ще обработва доста пакети редом.

В актуалните маршрутизатори ASIC дава отговор за по-голямата част от елементарната обработка на пакетите, като процесорът се намесва единствено в редки случаи. Източник: Juniper Networks

Конвейерът за обработка мрежовите пакетите работи като конвейер в цех за коли, от който на всеки няколко минути излиза нов автомобил. Сглобяването на автомобила изисква доста ходове на конвейера. Вътре в ASIC тези придвижвания се синхронизират: милиард пъти в секунда при тактов сигнал от 1 GHz.

В един процесор с общо предопределение също има конвейер, само че той е конвейер за указания, а не за пакети. Ето за какво обработката на мрежовите пакети от външен за процесора ASIC чип форсира работата на мрежите стотици и даже хиляди пъти. Така бе избегнат сривът на интернет, който бе предсказан за през 90-те години на предишния век.

Не по-малък е приносът на ASIC за компютърната графика: с цел да се форсира тя, се построява хардуерен конвейер за обработка на координатите на триъгълниците, които съставляват изображението в една триизмерна видеоигра, и по-късно триъгълниците се трансформират в групи пиксели на екрана.

През 2010 година съкращението ASIC стартира да се свързва с добива на криптовалути. Но актуалното му значение напълно не се лимитира единствено до гореспоменатите области на приложение. Днес ASIC се употребяват в компютрите, датчиците за интернет на нещата и спътниците. Сега те са на всички места и всичко се е превъплътило в тях.

Между цифрово лего и приложенията

В края на 70-те и началото на 80-те години на предишния век фирмите в електронната промишленост се разделиха на такива, които произвеждаха чипове, и такива, които изграждаха електронни системи с тяхна помощ. Първите знаеха по какъв начин да основават микропроцесори, памети и по този начин наречените „ необработени чипове “ – чипове с група сходни логичен детайли. Вторите знаеха какви са потребностите на потребителите на потребителска електроника и на телекомуникационните системи, имаха в състава си дизайнери на печатни платки, логаритми за обработка на тон и видео, разработчици на игри.

Бумът на персоналните компютри породи нов вид компании – производители на ASIC. Основаните през 1979 година и 1980 година VLSI Technology и LSI Logic станаха любимци на рисковите капиталисти – Джон Несхайм ги показва като образец в книгата си High Tech Start-Up. Тези компании произвеждаха профилирани чипове по поръчка за мрежови адаптери, цифрови тв приемници и RISC микропроцесори.

Първите ASIC бяха с размер до 200 логичен детайла. През 90-те години на предишния век броят им към този момент е стотици хиляди, а в наши дни е милиарди.

Море от вентили, общоприети кафези и техните библиотеки

Първоначално ASIC бяха построени въз основата на технологията за масиви от шлюзове, която познаваме като „ кристална базова матрица “ (BMC, base matrix crystal). Една от разновидностите на този метод на образуване на транзисторите върху чипа се назовава още море от гейтове. Основата на технологията е, че върху чипа се построява „ море “ от несвързани гейтове от един и същи вид. Микрочиповете с едно и също „ море “ се продават на другите клиенти, само че за всеки клиент гейтовете се свързват към схемата посредством спомагателни пластове метализация. През 80-те години на предишния век за тази цел се използваха алуминиеви писти, а през 90-те години се мина към медни.

След известно време BMC бива изместена от технологията на общоприетите кафези или общоприетите ASIC кафези. Думата „ общоприет “ тук по едно и също време значи, че другите планове употребяват еднакъв набор от кафези и че тези кафези имат общоприета височина в изображението на чипа, когато се гледат от горната страна.

Стандартните кафези са подредени на повърхността на чипа в редици една след друга. Клетките в библиотеката нормално се проектират с няколко ограничавания: авансово избрана (фиксирана) височина, разположение на заземителните/захранващите шини в горната и долната част на клетката и доста други. Това опростява основаването на връзките сред клетките (маршрутизацията), които се вършат директно над тях в метализационните пластове.

Различните кафези имат разнообразни функционалности. В допълнение към логическите детайли AND, OR, NOT и техните разновидности с друг брой входове (2 или 3), ASIC библиотеката може да има:

комбинирани кафези, например дружно AND-OR-NOT, различни разновидности на детайлите на положението (D-тригери), елементи за селекция – мултиплексори, блокове за елементарни аритметични схеми, по-конкретно суматори.

ASIC библиотеката нормално се създава от инженери, свързани с съответна технология, за съответна фабрика. Библиотеката за 3nm технология е друга от тази, основана за 130nm. За всяка клетка в базата данни на библиотеката се съхраняват:

логическата функционалност, физическите размери, времевите закъснения в пикосекунди, параметри, които разрешават да се пресметна потреблението на сила.

Коеволюция с инструментите за планиране

Както сподели времето, фирмите за произвеждане на ASIC бяха първите лястовици в разделянето на труда в електронната промишленост. През 80-те години на предишния век се следи делене на проектирането от производството. Тогава се появиха:

Компаниите за полупроводници без заводи (fabless semiconductor companies), Производство, което не проектира, а единствено създава чипове (pure play foundries), Компании, продаващи програмен продукт за планиране.

Начините за образуване на логиката върху чипа и структурата на ASIC библиотеките се развиват в тясна връзка със софтуера за планиране. В началото на 70-те години на предишния век, даже преди ASIC, проектантите чертаеха схемите с молив или конструираха изображенията на пистите и транзисторите от пъстър картон. Към края на десетилетието към този момент имаше редактори за свързване на детайлите на компютърния екран, в режим на псевдографичен текст или на графичен екран. По същото време се появиха и първите стратегии за автоматизирано разполагане и трасиране на логическите детайли с проводници.

За стратегиите за трасиране беше комфортно да разделят казуса със свързването на цялостното трасиране и на подробното трасиране – това улесняваше създаването на ефикасни логаритми. При световното следене всеки железен пласт се разделя на обособени дребни сектори (tile), с които се свързва избран сегмент от взаимовръзката. В рамките на детайлното трасиране в даден сегмент или набор от сегменти се основава вярно топологично показване на веригите, свързани с него на стадия на световното трасиране. Това разделяне разреши основаването на ефикасни логаритми за решение на задания с доста висока размерност (милиони обекти).

Щандът на Synopsys по време на ревю

В началото на 80-те години на предишния век фирмите за програмен продукт за планиране на чипове започнаха да се преглеждат като обособена промишленост – Electronic Design Automation, или EDA. Стартиращите компании за EDA бяха нетърпеливо финансирани от рискови вложители. Един подобен стартъп, Synopsys, през 1988 година показа на пазара технологията за логичен синтез и се трансформира в огромна компания. Synopsys, дружно с Cadence Design Systems и Mentor Graphics (сега поделение на Siemens EDA), се трансфораха в водачи в EDA промишлеността и към момента резервират позициите си.

Край на първа част. Във втората част ще разгледаме синтеза на логиката и държанието на най-новите чипове и по-специално на технологията на RTL синтеза. Разбира се, ще се спрем на възникването на концепцията за основаването на хардуер с променливи параметри и за самите програмируеми логичен матрици FPGA (Field-programmable gate array)

Източник: kaldata.com

СПОДЕЛИ СТАТИЯТА


Промоции

КОМЕНТАРИ
НАПИШИ КОМЕНТАР